VHDL tasks

Kapalı İlan edilme: 4 yıl önce Teslim sırasında ödenir
Kapalı Teslim sırasında ödenir

I have some simple VHDL tasks. My deadline is tomorrow.

1. Suggest a structural and behavioral description of a bidirectional cyclic shift register.

2. Suggest a structural and behavioral description of a bidirectional arithmetic shift register. Use parallel generation operators and configuration options.

3. Create a subroutine that performs the conversion between the integer and bit_vector types. Create an object and an architectural body to check this feature.

4. Create a package that declares one constant and one function, and its body. Save the package and its body in some library. Demonstrate the use of the library and use context statement operators to use the content of the package without a prefix.

5. Consider the following code:

library ieee; use [login to view URL];

package config is

type type1 is record

f1 : std_logic_vector(31 downto 0); f2 : std_logic_vector(3 downto 0);

end record;

type type2 is record

f1 : std_logic_vector(31 downto 0); f2 : std_logic_vector(3 downto 0);

end record;

end config;

library ieee; use [login to view URL]; use [login to view URL];

entity Swap_1 is

port (Data1 : type1; Data2 : type2; sel : STD_LOGIC;

Data1Swap : out type1; Data2Swap : out type2); end Swap_1;

architecture Behave of Swap_1 is begin

Swap: process (Data1, Data2, sel) begin case sel is

when '0' => Data1Swap <= Data1; Data2Swap <= Data2;

when others => Data1Swap <= Data2; Data2Swap <= Data1;

end case; end process Swap; end Behave;

Compile this code. What is the problem? Suggest a fix. Now write a testbench and test your code. Have you considered all possibilities?

6. Fix the bugs and simulate the following model:

entity UpDownCount_Bad is

port( clock, reset, up: STD_LOGIC;

D: STD_LOGIC_VECTOR (7 to 0)); end UpDownCount_Bad;

architecture Behave of UpDownCount_Bad is begin process (clock, reset, up);

begin

if (reset = '0') then D <= '0000000'; elseif (rising_edge(clock)) then if (up = 1) D <= D+1;

else D <= D-1;

end if;

end if;

end process;

end Behave;

7. Create a function and procedure on the following declaration and check their work:

function Is_X_Zero (signal X : in BIT) return BIT; procedure Is_A_Eq_B (signal A, B : BIT; signal Y : out BIT);

27. Please create and check the architecture of the multiplier bodies according to the upcoming description of the object

entity Mult8 is

port ( A, B : STD_LOGIC_VECTOR(3 downto 0); Start, CLK, Reset : in STD_LOGIC;

Result : out STD_LOGIC_VECTOR(7 downto 0);

Done : out BIT);

end;

Elektrik Mühendisliği Mühendislik Verilog / VHDL

Proje NO: #19446192

Proje hakkında

4 teklif Uzak proje Aktif 4 yıl önce

Bu iş için 4 freelancer ortalamada $26 teklif veriyor

ahmedmohamed85

Dear sir I have more than 10 years experience in digital design using vhdl please message me so that we can discuss Best regards

1 gün içinde %bids___i_sum_sub_32%%project_currencyDetails_sign_sub_33% USD
(407 Değerlendirme)
7.8
inspiredwhiz

I am an Electrical Engineer with a masters degree I have high proficiency in Electrical Engineering, HVAC, LTE system model, Thermal system design, FPGA, Verilog / VHDL, Matlab/ Simulink, Microcontroller, Modeling, Daha Fazla

1 gün içinde %bids___i_sum_sub_32%%project_currencyDetails_sign_sub_33% USD
(8 Değerlendirme)
4.4
kptechlead

I am a VLSI Engineer cum Professor in reputed organization hands on experience on HDL'S[VHDL &Verilog] I can do this project work i have a more than 15+ years of experience on teaching and research papers solut Daha Fazla

1 gün içinde %bids___i_sum_sub_32%%project_currencyDetails_sign_sub_33% USD
(3 Değerlendirme)
2.5
Saneru92

I'm an Electrical and Electronic engineer. And I'm a expert in digital logic designing. I can help you. Please contact me and give more details about the project thank you.

1 gün içinde %bids___i_sum_sub_32%%project_currencyDetails_sign_sub_33% USD
(1 Yorum)
1.3